CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA 彩色 显示

搜索资源列表

  1. vga

    0下载:
  2. 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:6812
    • 提供者:bayernb
  1. 200510401217

    0下载:
  2. 1. 观察计算机显示系统构成,认识彩色显示的相关硬件和软件。 2. 了解计算机图形显示过程并学习VGA显示模式设置知识。
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:738853
    • 提供者:张洋
  1. VGA

    0下载:
  2. 这个试验要配合EDA4.0底板一起使用.先将核心板插在EDA4.0底板上面,然后接上VGA显示器. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色; 3。具体设计参考代码。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59051
    • 提供者:Jak
  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. VGA_srcfile

    0下载:
  2. VGA彩色显示源代码,已经经过测试,可以使用,希望与大家分享:-VGA color display source code, has been tested, you can use, I hope to share with you:
  3. 所属分类:Graph program

    • 发布日期:2017-04-08
    • 文件大小:3884
    • 提供者:lijq
  1. FPGA-VGA-interface

    0下载:
  2. 基于FPGA的VGA接口显示程序,可显示三种彩色条纹-FPGA-based interface VGA display program can display the three color stripes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:464435
    • 提供者:冀少威
  1. Avalon_VGA

    0下载:
  2. vga显示彩色图像ip,alter开发板-vga display color image,vhdl,quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:12930
    • 提供者:licheng
  1. vga

    0下载:
  2. 能实现彩色品目的图像显示,里面有三幅图像,可以自动变换-Items to achieve color image display, which has three images, you can automatically transform
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:621725
    • 提供者:songyaqiong
  1. VGA

    0下载:
  2. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号; 3。modelsim仿真文件在proj--simulation--modelsim中 4。具体设计参考代码。-1. The source file in src directory, QII project file saved in Proj directory 2. Program implementatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4168470
    • 提供者:jiehao
  1. vga

    0下载:
  2. 用VHDL实现VGA显示,在VGA显示器上显示彩色条,用的FPGA是Lattice公司的XP2-5.程序测试通过,附图片资料-VHDL implementation with VGA display, the VGA color monitor display section, with the FPGA, Lattice' s XP2-5. Procedures tested, with a picture information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:716415
    • 提供者:
  1. VGAcaisexinhaokongzhiqi

    0下载:
  2. 这是我们EDA课程设计中的VGA彩色信号显示控制器设计,但其中设计有些问题,MS控制信号无法实现控制,希望高手帮忙修改下。-It is our curriculum design in EDA VGA color signal display controller design, but designing some of the problems, MS control signal can not achieve control, hoping to help modify the mast
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:683130
    • 提供者:胡博伟
  1. vgaroundn

    0下载:
  2. VHDL语言在QUARTUS II环境下控制VGA显示器显示彩色小球的程序-VHDL language QUARTUS II VGA display under control of the ball in the process of color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2896023
    • 提供者:贺飞
  1. VGA

    0下载:
  2. VGA(Video Graphics Array)是IBM在1987年随PS/2机一起推出的一种视频传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。-VGA,Is IBM in 1987 with the PS/2 machines with the launch of a video transmission standard, high resolution, fast display rate, the advantages of rich color
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-03
    • 文件大小:976
    • 提供者:徐子孑
  1. img

    0下载:
  2. 通过电阻分压实现VGA彩色显示的VHDL程序,可以在屏幕上实现彩色圆球的滚动效果。-VHDL program can realize moving color ball on the screen which using resistor net
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:254014
    • 提供者:贺飞
  1. VGA

    0下载:
  2. 用verilog在quartus环境下开发VGA彩色信号显示-verilog,quartus,vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:397613
    • 提供者:haifeng
  1. FPGAshiyan(18)

    0下载:
  2. FPGA入门系列实验教程——实验十八.VGA彩色信号显示-Getting Started with FPGA tutorial series of experiments- experiments eighth. VGA color signal display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:483070
    • 提供者:lutangshi
  1. vga

    0下载:
  2. FPGA入门系列实验教程——VGA彩色信号显示-FPGA Starter series of experiments tutorial- VGA color signal
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:297314
    • 提供者:周舟
  1. VGA图像显示控制器设计

    0下载:
  2. VGA图像显示控制器设计.基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示器显示色彩和图形。完成的功能包括64种纯色的显示、横向和纵向彩色条幅的显示以及正方形色块的运动与控制。
  3. 所属分类:嵌入式/单片机编程

  1. VGA_srcfile

    0下载:
  2. VGA彩色显示源代码,已经经过测试,可以使用,希望与大家分享:-VGA color display source code, has been tested, you can use, I hope to share with you:
  3. 所属分类:Graph program

    • 发布日期:2017-04-14
    • 文件大小:3929
    • 提供者:rgetof
  1. VGA_srcfile

    0下载:
  2. VGA彩色显示源代码,已经经过测试,可以使用,希望与大家分享:-VGA color display source code, has been tested, you can use, I hope to share with you:
  3. 所属分类:Graph program

    • 发布日期:2017-04-14
    • 文件大小:3975
    • 提供者:asacoup
« 12 3 »
搜珍网 www.dssz.com